A Comparative Analysis of Branch Prediction Schemes

Zhendong Su and Min Zhou

Computer Science Division
University of California at Berkeley
Berkeley, CA 94720


References

[BL93] T.Ball and J.Larus, "Branch Prediction for Free", Proceedings of the ACM SIGPLAN '93 Conference on Programming Language Design and Implementation, 1993.

[CG94] B. Calder and D. Grunwald, " Fast & Accurate Instruction Fetch and Branch Prediction," Intl. Symp. on Computer Architecture, Apr. 1994.

[FF92] J. Fisher and S. Freudenberger, "Predicting Conditional Branch Directions From Previous Runs of a Program", Proc. 5th Annual Intl. Conf. on Architectural Support for Prog. Lang. and Operating Systems, Oct. 1992.

[GSM95] N. Gloy, M. Smith, and C. Young, " Performance Issues in Correlated Branch Prediction Schemes," to appear in the Proc. 28th Annual IEEE/ACM Intl. Symp. on Microarchitecture, Nov. 1995.

[JW89] N. Jouppi and D. Wall, "Available Instruction-level Parallelism for superscalar and Superpipelined Machines", Proceedings of ASPLOS III, April 1989.

[LS92] J. Lee and A. Smith, "Branch Prediction Strategies and Branch Target Buffer Design", Computer 17:1 Jan. 1984.

[M93] S. McFarling, " Combining Branch Predictors," TR, Digital Western Research Laboratory,Jun. 1993

[MH86] S. MaFarling and J. Hennessy "Reducing the Cost of Branches", Proc. of 13th Annual Intl. Symp. on Computer Architecture, Jun. 1986.

[PH95] D. Patterson and J. Hennessy, "Computer Architecture: A Quantitative Approach, 2nd Edition," Morgan Kaufmann Publishers, Inc., 1995.

[PSR] S. Pan, K. So, and J. Rahmeh, "Improving the Accuracy of Dynamic Branch Prediction Using Branch Correlation," Proc. 5th Annual Intl. Conf. on Architectural Support for Prog. Lang. and Operating Systems, Oct. 1992.

[S81] J. Smith, "A Study of Branch Prediction Strategies," Proc. 8th Annual Intl. Symp. on Computer Architecture, May 1981.

[SHADE] Sun Microsystems, " Shade Manual."

[YP93] T. Yeh and Y. Patt, "A Comparison of Dynamic Branch Predictors that use Two Levels of Branch History," Proc. 20th Annual Intl. Symp. on Computer Architecture, May 1993.

[YP91] T. Yeh and Y. Patt, "Two-Level Adaptive Training Branch Prediction," Proc. 24th Annual ACM/IEEE Intl. Symp. and Workshop on Microarchitecture, Nov. 1991.

[YS95] C. Young and M. Smith, " A Comparative Analysis of Schemes for Correlated Branch Prediction", Proc. 22nd Annual Intl. Symp. on Computer Architecture, June 1995.

[YS94] C. Young and M. Smith, " Improving the Accuracy of Static Branch Prediction Using Branch Correlation", Proc. 6th Intl. Conf. on Architectural Support for Prog. Lang. and Operating Systems, October 1994.

[W91] D. Wall, "Limits of Instruction-level Parallelism", Proceedings of ASPLOS IV, April 1991.


Project Home | Previous Section: Conclusion